[CALU] Re: Integration der Module in die Pipelinestufen

  • From: Günther Wimpassinger <e0525147@xxxxxxxxxxxxxxxxxxxx>
  • To: calu-ss2010-tuwien@xxxxxxxxxxxxx
  • Date: Fri, 7 May 2010 19:38:52 +0200

Zitat von Elshuber Martin <e9825286@xxxxxxxxxxxxxxxxxxxx>:

Hab noch eine kleine änderung, gemacht:
in allen pipeline stufen habe ich das register als eigene entitiy
umgebaut, und extra instantiert

ist denke ich weniger fehleranfällig, und mad sieht im netlist viewer
die abhängigkeiten besser

Hi,

kann es sein, dass du die Entity "ex_stage_register" vergessen hast?

lg
Günther

p.s. git was so nett und hat mir gerade einen Nachmittag Arbeit
vernichtet, weil ich die Reihenfolge der Git-Befehle "git pull, git
add, git commit und git push" nicht beachtet habe. Und schon waren
einige Dateien weg :(


Other related posts: