[CALU] Re: Integration der Module in die Pipelinestufen

  • From: Günther Wimpassinger <e0525147@xxxxxxxxxxxxxxxxxxxx>
  • To: calu-ss2010-tuwien@xxxxxxxxxxxxx
  • Date: Fri, 7 May 2010 00:42:30 +0200

Zitat von Günther Wimpassinger <e0525147@xxxxxxxxxxxxxxxxxxxx>:

Hallo Kollegen,

ich möchte einige Wörter über die Integration der Module in unser
Pipeline-Design verlieren.

Und jetzt möchte ich ein paar dieser Wörter ersetzen.

........
Um obiges Konzept umzusetzen habe ich ein 2stufiges
Typedefinitionsschema angewendet. Daten die zwischen Pipeline-Stufen
ausgetauscht werden, werden
über Records im File "pipeline_types.vhd" definiert. Um Daten innerhalb
einer Stufe zu verschieben, gibt es "xx_stage_types.vhd". Das dabei
verwendete
Namensschema ist einfach <stage>2<module>_type oder
<module>2<stage>_type bzw. <stagefrom>2<stage>_type. Das ist aber
Geschmackssache. Hab das aus HW-Mod so übernommen.

Ich habe mir jetzt Martins Typedefinitionsschema nochmal genauer
angesehen und dabei festgestellt, dass es teilweise wohl doch die
bessere Wahl ist.

Inter-Pipeline-Stufen Records immer noch in "pipeline_types.vhd".
Handelt es sich um Werte von der Vorgängerstufe, dann werden diese
direkt in bei der nächsten Taktflanke gespeichert.

Intra-Stufen Records kommen jedoch nicht in die Datei
"<stufe>_stage_types.vhd", sondern zum jeweiligen Modul/zur Entity mit
der Namensgebung "<module>_in_type" und "<module>_out_type". Die Namen
von den Variablen oder Signalen nenne ich aber nach wie vor so
"<src-component>2<dest-component>_<suffix>".

happy coding
Günther







Other related posts: