[CALU] Re: 2-Cycle Multiplication & SH-Bits bei ldX/stX imm Instructions/VOTING

  • From: Günther Wimpassinger <e0525147@xxxxxxxxxxxxxxxxxxxx>
  • To: calu-ss2010-tuwien@xxxxxxxxxxxxx
  • Date: Sat, 22 May 2010 00:07:12 +0200


 die megafunction lpm_mult mit einem cycle pipeline delay wurde in
der alu für die multiplication instantiert (ca 500LUTs)

Eine Anmerkungen:
a) Quartus nimmt automatisch die "lpm_mul" Megafunction, wenn man in VHDL
signala * signalb schreibt. Nur die Timinghints gibt's nicht

VOTE
 machen wir       : Martin
 machen wir nicht :

Mir persönlich ist das relativ egal, wir wir mul implementieren, aber
kannst du ein bisschen langsamer arbeiten. Ich kommenkaum nach die
Änderungen anzusehen und mir Gedanken darüber zu machen.

lg
Günther

p.s. Do-Scripts erlauben Parameter. D.h. ich werde die
Simulationsskript dieses Wochenende anpassen.


Other related posts: